`timescale 1ns / 1ps module lc4_branch_unit(insn, pc, nzp, rs_data, next_pc); input[15:0] insn, pc, rs_data; input [2:0] nzp; output [15:0] next_pc; /*** YOUR CODE HERE ***/ endmodule